Entwicklung eines MEMS Drucksensor-Prozessmoduls für die Post-CMOS Integration

Das Ziel dieser Arbeit ist die Entwicklung von Prozessen, Materialien und Charakterisierungsmethoden für die monolithische Integration von kapazitiven Druckdosen mit CMOS Schaltungen. Hierfür wurden verschiedene Ansätze entworfen und theoretisch verglichen. Die auf Niedertemperatur-Abscheidung von SiGe, Ge und a-Si, und auf plasma-aktiviertem Wafer Bonden (PAWB) basierende Post-CMOS-Integration wurde experimentell untersucht. Dazu wurden PECVD- und CVD-Abscheidungsmethoden von polykristallinem und mikrokristallinem SiGe und Ge entwickelt. Die Eigenschaften von SiGe-, Ge- und a-Si Schichten wurden bei verschiedenen Depositionsparametern charakterisiert. Verschlossene Testdruckdosen mit SiGe- oder Ge-Membran und verschlossene Testdruckdosen basierend auf dem PAWB Prozess, wurden hergestellt und charakterisiert. Für das Abschätzen des Innenvakuums der verschlossenen Druckdose wurde eine Methode entworfen und eingesetzt. Durchkontaktierungen aus Poly-SiGe und Poly-Ge, die die elektrische Verbindung zur unterliegenden Metall-Leiterbahn bilden, wurden hergestellt und charakterisiert. Aufgrund der experimentellen Ergebnisse wurde nachgewiesen, dass das Integrationskonzept mit SiGe- oder Ge-Druckdosen-Membran eines der am besten geeigneten Integrationsverfahren für die monolithische Integration der Druckdose mit der CMOS-Schaltung ist. Für das Integrationsverfahren mit PAWB müsste ein erheblicher zusätzlicher Entwicklungsaufwand erbracht werden. Das Konzept mit a-Si-Druckdosen-Membran besitzt keine Vorteile gegenüber dem Konzept mit SiGe oder Ge Membran und dem Konzept mit PAWB. Mit zunehmendem gesamten Gasfluss bei der PECVD-Abscheidung von SiGe- oder Ge-Schichten wird die Verweilzeit der Gasteilchen in der Prozesskammer verringert. Die verringerte Verweilzeit der Gasteilchen führt zu einem erhöhten Anteil beweglicherer Radikale auf der Oberfläche und damit zu einer besseren Kristallinität der abgeschiedenen Schicht. Aufgrund dieses Phänomens wurde eine PECVD-Abscheidungsmethode für die Abscheidung der SiGe- oder Ge-Schichten mit erhöhtem gesamten Gasfluss entwickelt. Mittels dieser Abscheidungsmethode wurde Poly-SiGe mit einem spezifischen Widerstand von 1,4mΩcm und einem Ge-Gehalt von circa 80at.% bei einer Substrat-Temperatur von 375°C abgeschieden. Dieser Widerstand ist erheblich niedriger als bei Abscheidung unter bisher genutztem Gasfluss. REM- und XRD-Messungen bestätigten die erhöhte Kristallinität. Ein Kontaktsystem von Poly-SiGe oder Poly-Ge auf Metall wurde entwickelt. Die Vorteile der Durchkontaktierung aus Poly-SiGe oder -Ge sind der vereinfachte Integrationsprozess und der große Strom, der durch die Durchkontaktierung fließen darf (>5mA bei 1,5μm2 Kontaktfläche).
The aim of this work is the development of processes, materials and characterization methods, for the monolithic integration of capacitive pressure sensor element with the CMOS circuits. For this purpose different approaches were designed and compared theoretically. The post-CMOS integration based on the low temperature deposition of SiGe, Ge and a-Si, and on the plasma-activated wafer bonding (PAWB) was studied experimentally. PECVD and CVD methods for the deposition of polycrystalline and microcrystalline SiGe and Ge were developed. The properties of the SiGe, Ge and a-Si films were characterized at different deposition parameters. Sealed test pressure sensor with SiGe or Ge membrane and sealed test pressure sensor based on the PAWB process were fabricated and characterized. A method for the estimation of the inner vacuum in the sealed pressure sensor were designed and applied. Vias of poly-SiGe and poly-Ge, which formed the electrical connection to the underlying metal lines, were fabricated and characterized. On the basis of the experimental results was verified, that the integration concept with the SiGe or Ge membrane is one of the best suitable integration processes for the monolithic integration of the pressure sensor with the CMOS circuits. For the integration process with PAWB, significant additional development work has to be carried out. The concept with a-Si pressure sensor membrane has no advantages comparing with the concept with SiGe or Ge membrane and the concept with PAWB. With the increasing total gas flow at the PECVD deposition of SiGe or Ge layers, the residence time of gas particles in the process chamber is reduced. The reduced residence time of gas particles increases the proportion of radicals with larger mobility on the wafer surface and thus leads to a better crystallinity of the deposited layer. On the basis of this phenomenon, a PECVD method for the deposition of SiGe and Ge layers with increased total gas flow was developed. Using this deposition method, poly-SiGe with a resistivity of 1,4mΩ-cm and a Ge-concentration of about 80at.% at a substrate temperature of 375°C was deposited. This resistivity is significantly lower than those of the films deposited with so far applied gas flow. SEM and XRD measurements verified the increased crystallinity. An electrical contact system of poly-SiGe or poly-Ge on metal was developed. The advantages of the via of poly-SiGe or poly-Ge are the simplified integration process and the large current that is allowed to flow through the via (>5mA at 1.5μm2 contact area).

Zitieren

Zitierform:
Zitierform konnte nicht geladen werden.

Rechte

Nutzung und Vervielfältigung:
Alle Rechte vorbehalten